Frage

I synthetisierte ein kleines Gerät die Block-RAM-Inferenz zu testen.

Ich habe eine Nachricht von XST:

Der kleine RAM wird auf LUTs implementiert, um Maximierung der Leistung und Block speichern RAM-Ressourcen. Wenn Sie möchten, zwingen, ihre Umsetzung auf Block, Verwendung Option / Einschränkungs ram_style .

Aber ich weiß nicht, wo diese Option / Einschränkung finden entweder in ISE (11.1 in meinem Fall) oder in Constraint-Dateien ...

Ich mag nicht, VHDL-Attribute direkt in meinem Code verwenden.

War es hilfreich?

Lösung

In Ihrem Projektverzeichnis finden Sie eine Datei „your-design.xst“ genannt finden. Sie können die folgenden am Ende der Liste (oder irgendwo nach „run“) hinzufügen:

-ram_style block # ( | auto | distributed )
-rom_style block # ( | auto | distributed )

Diese sollten sicherstellen, dass Sie gehen BRAM-Mapping statt verteilt RAM zu bekommen (was bedeutet, LUT-basierte Speicher).

Mit dieser Option auch nützlich sein könnte:

-auto_bram_packing yes # ( | no )

Beachten Sie, dass jede dieser auf einer Linie ihrer eigenen sein müssen, und dass Sie benötigen die „#“ zu entfernen und was kommt danach.

Wenn Sie die ISE GUI verwenden, gehen Sie zu

Synthesis -> Process Properties -> HDL options

und wählen Sie die oben genannten Optionen gibt.

(BTW, wir versuchen, eine SE-Website zu FPGAs gewidmet beginnen ... es betrachten unterstützt ... http://area51.stackexchange.com/proposals/20632/programmable-logic-and-fpga-design?referrer=YmxhQ2OJUo-FAaI1gMp5oQ2 )

Andere Tipps

laufen -ram_style BLOCK kann in der Befehlszeile den Trick.

Lizenziert unter: CC-BY-SA mit Zuschreibung
Nicht verbunden mit StackOverflow
scroll top