Вопрос

Я хочу создать декодер адреса, который достаточно гибкий, чтобы я мог использовать при изменении количества битов селектора и декодированных выходных сигналов.

Таким образом, вместо того, чтобы иметь статический декодер (фиксированный вход/выход), который выглядит примерно так:

entity Address_Decoder is
Generic
(
    C_INPUT_SIZE: integer := 2
);
Port
(
    input   : in  STD_LOGIC_VECTOR (C_INPUT_SIZE-1 downto 0);
    output  : out STD_LOGIC_VECTOR ((2**C_INPUT_SIZE)-1 downto 0);
    clk : in  STD_LOGIC;
    rst : in  STD_LOGIC
);
end Address_Decoder;

architecture Behavioral of Address_Decoder is

begin        
        process(clk)
            begin
               if rising_edge(clk) then 
                  if (rst = '1') then
                     output <= "0000";
                  else
                     case <input> is
                        when "00" => <output> <= "0001";
                        when "01" => <output> <= "0010";
                        when "10" => <output> <= "0100";
                        when "11" => <output> <= "1000";
                        when others => <output> <= "0000";
                     end case;
                  end if;
               end if;
            end process;

end Behavioral;

Есть что -то более гибкое/общее, это выглядит так:

    entity Address_Decoder is
    Generic
    (
        C_INPUT_SIZE: integer := 2
    );
    Port
    (
        input   : in  STD_LOGIC_VECTOR (C_INPUT_SIZE-1 downto 0);
        output  : out STD_LOGIC_VECTOR ((2**C_INPUT_SIZE)-1 downto 0);
        clk : in  STD_LOGIC;
        rst : in  STD_LOGIC
    );
    end Address_Decoder;

    architecture Behavioral of Address_Decoder is

    begin        

DECODE_PROC:
    process (clk)
    begin

        if(rising_edge(clk)) then
         if ( rst = '1') then
           output <= conv_std_logic_vector(0, output'length);
         else
           case (input) is
             for i in 0 to (2**C_INPUT_SIZE)-1 generate
             begin
                when (i = conv_integer(input)) => output <= conv_std_logic_vector((i*2), output'length);        
             end generate;
            when others => output <= conv_std_logic_vector(0, output'length);
           end case;
         end if;
        end if;
    end process;

    end Behavioral;

Я знаю, что этот код недопустим и что «когда» тестовые случаи должны быть постоянными и что я не могу использовать для генерации между оператором дела, но это показывает, что я и после: сущность достаточно умный, чтобы вырасти до моих нужд.

Я пытался найти элегантное решение для этой проблемы без особого успеха, поэтому я открыт для любых предложений.

Заранее спасибо, Эрик

Это было полезно?

Решение

Очевидно, вы хотите, чтобы вход был индексом выходного бита, который должен быть установлен.

Напиши это так. Что -то вроде (предполагая типы из numeric_std):

output <= (others => '0'); -- default
output(to_integer(input)) <= '1';

Другие советы

Я всегда находил подобные вещи легче следовать, когда вы просто перебираете каждый бит, так что что -то вроде:

     if ( rst = '1') then
       output <= (others=>'0');
     else
       for i in 0 to (2**C_INPUT_SIZE)-1 generate
       begin
         if (i = conv_integer(input)) then
           output(i) <= '1';
         else
           output(i) <= '0';
         end if;
       end generate;
     end if;
Лицензировано под: CC-BY-SA с атрибуция
Не связан с StackOverflow
scroll top